Browse Source

Clarify how Quotient tests do rounding.

Change-Id: Ifea17a894065cce607845208c96e2092e4632d61
Reviewed-on: https://boringssl-review.googlesource.com/8607
Reviewed-by: Adam Langley <agl@google.com>
kris/onging/CECPQ3_patch15
David Benjamin 8 years ago
committed by Adam Langley
parent
commit
1701776908
1 changed files with 2 additions and 1 deletions
  1. +2
    -1
      crypto/bn/bn_tests.txt

+ 2
- 1
crypto/bn/bn_tests.txt View File

@@ -5957,7 +5957,8 @@ B = -542fb814f45924aa09a16f2a6

# Quotient tests.
#
# These test vectors satisfy Quotient = A / B and Remainder = A - B * Quotient.
# These test vectors satisfy Quotient = A / B, rounded towards zero, and
# Remainder = A - B * Quotient.

Quotient = 1
Remainder = 0


Loading…
Cancel
Save