Nevar pievienot vairāk kā 25 tēmas Tēmai ir jāsākas ar burtu vai ciparu, tā var saturēt domu zīmes ('-') un var būt līdz 35 simboliem gara.
 
 
 
 
 
 

50 rindas
593 B

  1. include_directories(. .. ../../include)
  2. add_library(
  3. evp
  4. OBJECT
  5. algorithm.c
  6. asn1.c
  7. digestsign.c
  8. evp.c
  9. evp_ctx.c
  10. p_dsa_asn1.c
  11. p_ec.c
  12. p_ec_asn1.c
  13. p_rsa.c
  14. p_rsa_asn1.c
  15. pbkdf.c
  16. sign.c
  17. )
  18. add_executable(
  19. evp_extra_test
  20. evp_extra_test.cc
  21. $<TARGET_OBJECTS:test_support>
  22. )
  23. add_executable(
  24. evp_test
  25. evp_test.cc
  26. $<TARGET_OBJECTS:test_support>
  27. )
  28. add_executable(
  29. pbkdf_test
  30. pbkdf_test.cc
  31. $<TARGET_OBJECTS:test_support>
  32. )
  33. target_link_libraries(evp_extra_test crypto)
  34. target_link_libraries(evp_test crypto)
  35. target_link_libraries(pbkdf_test crypto)